Signal should not be stable for particular window

Hi,

Lets say, I have two signals c_en and c_in,
Now I want to check that c_in (clk) should not be stable during the c_en is asserted,
Not necessary it should be continues toggling, but should not be stable throughout that window(that is c_en is asserted).

Please suggest some efficient assertion.

In reply to Shikhar Bhardwaj:

This might work
assert (c_en == 1) |-> !($stable(c_in))