Severity level change

I understand how severity action works. But now I want to convert severity level from error to info,then what should be the change in this program ? Where the modification requires ?


 import uvm_pkg::*;

class rpting extends uvm_component;
   int d=2;
  `uvm_component_utils(rpting)

  function new(string name,uvm_component parent);
    super.new(name, parent);
  endfunction

virtual function void build_phase(uvm_phase phase);
  super.build_phase(phase);
  `uvm_info("BUILD", $sformatf("%m"), UVM_HIGH);
endfunction: build_phase

virtual function void connect_phase(uvm_phase phase);
  super.connect_phase(phase);
  `uvm_info("CONNECT", $sformatf("%m"), UVM_HIGH);
    endfunction: connect_phase

virtual function void start_of_simulation_phase (uvm_phase phase); 
   set_report_severity_id_action(UVM_ERROR, "ERROR", UVM_EXIT);
endfunction: start_of_simulation_phase

  task run();
	$display("-------------------------------------------------------------");
 if(d==2) begin
	`uvm_error("ERROR", "Failed");
	end

    uvm_report_info(get_full_name(),"Info Message : Verbo lvl - UVM_NONE  ",UVM_NONE,`__FILE__,`__LINE__);
    endtask

endclass

module top;

 rpting rpt1;
 rpting rpt2;
 rpting rpt3;

 initial begin
   rpt1 = new("rpt1",null);
   rpt2 = new("rpt2",null);
   rpt3 = new("rpt3",null);

 
   run_test();


 end
endmodule

   

In reply to Huzefa Halolwala:

Huzefa,

Your question is not clear, if you want to convert the UVM_ERROR to UVM_INFO you can use
set_report_severity_id_override task. If you want to print error you need to change UVM_EXIT in your code to UVM_DISPLAY.


- set_report_severity_id_action(UVM_ERROR, "ERROR", UVM_EXIT);
+ set_report_severity_id_action(UVM_ERROR, "ERROR", UVM_DISPLAY);

Thanks

In reply to rohitk:

Thank You very Much rohitk.The problem was to override the severity and I got the solution for that.