Scorboard phasing problem?

//Macros to create the uvm_analysis_imp ports (just an export + write function)
  `uvm_analysis_imp_decl(_apb_port) 
  `uvm_analysis_imp_decl(_spi_port)
 
class spi_scoreboard extends uvm_scoreboard;
  `uvm_component_utils(spi_scoreboard)
string m_name;
virtual irq_if irq_if_1;
///golden reference model
logic [31:0] dut_model_w[*];//for mimicing the  writing into the dut reg
logic [31:0] dut_model_r[*];//for mimicing the reading from the dut reg
logic[31:0] ctrl_reg_value;// to know the ctrl register value
logic[127:0] Tx_reg_value,Rx_reg_value;
logic[6:0] char_len;
// for checking purpose
int k,l;

apb_seq_item apb_item,apb_item_2;
spi_seq_item spi_item,spi_item_2;

uvm_analysis_imp_apb_port#(apb_seq_item,spi_scoreboard)apb_imp;
uvm_analysis_imp_spi_port#(spi_seq_item,spi_scoreboard)spi_imp;

//queue to store data which will come from apb and spi side
apb_seq_item apb_dataQ[$];
spi_seq_item spi_dataQ[$];
logic[127:0] MOSIDATA,MISODATA;

extern function new(string name="spi_scoreboard",uvm_component parent =null);
extern function void build_phase(uvm_phase phase);
extern task run_phase( uvm_phase phase );
extern function void write_apb_port(apb_seq_item apb_item_1);
extern function void write_spi_port(spi_seq_item spi_item_1);
extern function void extract_phase( uvm_phase phase );
extern function void check_phase(uvm_phase phase);
extern function void report_phase( uvm_phase phase );


endclass:spi_scoreboard


 function spi_scoreboard::new (string name="spi_scoreboard", uvm_component parent=null);
    super.new(name, parent);
     m_name=name;
  endfunction : new

function void spi_scoreboard:: build_phase(uvm_phase phase);
 super.build_phase(phase);
 if(!uvm_config_db#(virtual irq_if)::get(this,"","irq_if",irq_if_1))
  `uvm_fatal("NO IRQ IF",{"virtual interface of IRQ must be set for:",get_full_name(),".irq_if"});
 spi_imp=new("spi_imp",this);
 apb_imp=new("apb_imp",this);
endfunction:build_phase


function void spi_scoreboard:: write_apb_port(apb_seq_item apb_item_1);
       $cast(apb_item,apb_item_1.clone());
      `uvm_info(get_type_name(),$sformatf("writing in the apb write method \n %s",apb_item.sprint()), UVM_LOW)
      apb_dataQ.push_back(apb_item);


endfunction


function void spi_scoreboard:: write_spi_port(spi_seq_item spi_item_1);
    $cast(spi_item,spi_item_1.clone());
    `uvm_info(get_type_name(),$sformatf("writing in spi write method \n %s",spi_item.sprint()),UVM_LOW)
    spi_dataQ.push_back(spi_item);
endfunction

task spi_scoreboard:: run_phase( uvm_phase phase );
      forever begin


         foreach(apb_dataQ[i]) begin
           apb_item_2=apb_dataQ.pop_front();
          `uvm_info(m_name,$sformatf("Scoreboard \n %s",apb_item_2.sprint()),UVM_LOW)
              if(apb_item_2.we) begin
                    dut_model_w[apb_item_2.addr]=apb_item_2.data;
                   // $display("dut_model_w=%p",dut_model_w);
                end
          
             else begin
               dut_model_r[apb_item_2.addr]=apb_item_2.data;
                //$display("dut_model_r=%p",dut_model_r);
              end


          end

           Tx_reg_value={dut_model_w[32'h0c],dut_model_w[32'h08],dut_model_w[32'h04],dut_model_w[32'h00]};
           Rx_reg_value={dut_model_r[32'h0c],dut_model_r[32'h08],dut_model_r[32'h04],dut_model_r[32'h00]};

           ctrl_reg_value = dut_model_w[32'h10];
           char_len=ctrl_reg_value[6:0];
                  wait(spi_dataQ.size())begin
                      spi_item_2= spi_dataQ.pop_front();
                      MOSIDATA=spi_item_2.MOSIDATA;
                      MISODATA=spi_item_2.MISODATA;
                      $display($time,"MOSIDATA[127:0]::%b\n MISODATA[127:0]::%b",MOSIDATA[127:0],MISODATA[127:0]);

                  end
            end
 
endtask




function void spi_scoreboard::  extract_phase(uvm_phase phase);
super.extract_phase(phase);

  foreach(apb_dataQ[i]) begin
           apb_item_2=apb_dataQ.pop_front();
          `uvm_info(m_name,$sformatf("Scoreboard \n %s",apb_item_2.sprint()),UVM_LOW)
              if(apb_item_2.we) begin
                    dut_model_w[apb_item_2.addr]=apb_item_2.data;
                   // $display("dut_model_w=%p",dut_model_w);
                end
          
             else begin
               dut_model_r[apb_item_2.addr]=apb_item_2.data;
                //$display("dut_model_r=%p",dut_model_r);
              end
          end
     Rx_reg_value={dut_model_r[32'h0c],dut_model_r[32'h08],dut_model_r[32'h04],dut_model_r[32'h00]};
endfunction

function void spi_scoreboard::  check_phase(uvm_phase phase);
super.check_phase(phase);

//compare logic
    begin
      for(int j=0;j<char_len;j++) begin
          if(MOSIDATA[j]===Tx_reg_value[j])
             k++;
          else 
            break;
       end

      for(int m=0;m<char_len;m++) begin
          if(MISODATA[m]===Rx_reg_value[m])
             l++;
          else
            break;
       end
   end
       apb_dataQ.delete();
       spi_dataQ.delete();

endfunction




function void spi_scoreboard:: report_phase( uvm_phase phase );
//report
 super.report_phase(phase);
    `uvm_info(get_type_name(), "============================", UVM_NONE)
    `uvm_info(get_type_name(), "Scoreboard Results", UVM_NONE)
     if(char_len==k&&char_len==l)begin
                   `uvm_info(get_type_name(), "TEST PASSED", UVM_NONE)
                   `uvm_info(m_name,$sformatf("CONSIDERED CHARACTER LENGTH::%d",char_len),UVM_LOW)
                   `uvm_info(m_name,$sformatf("DATA SENT FROM APB MASTER IS MATCHED WITH DATA IN MOSI LINE::\n\n\n       MOSI DATA SENT FROM APB MASTER::%b\n MOSI DATA COLLECTED FROM SPI MONITOR::%b\n\n",Tx_reg_value[127:0],  MOSIDATA[127:0] ),UVM_LOW)
                   `uvm_info(m_name,$sformatf("DATA SENT FROM SPI SLAVE IS MATCHED WITH DATA IN MISO LINE::\n\n\n        MISO DATA SENT FROM SPI SLAVE::%b\n MISO DATA COLLECTED FROM APB MONITOR::%b\n\n",MISODATA[127:0],Rx_reg_value[127:0]),UVM_LOW)

          end
        else begin 
                 `uvm_info(get_type_name(), "TEST FAILED", UVM_NONE)
     
    `uvm_info(get_type_name(), "============================", UVM_NONE)
          end
endfunction








Here actually I have written 12 sequence item through apb_driver within which 8 of which are writing operation and 4 are reading operation. All of the sequence item are coming to queue of type apb_seq_item. But the first 8(write seq item) are stored in the queue first and the scoreboard run_phase is taking out those 8 item from the queue and after that it completes run_phase. But the apb_seq_item which come some time after to the queue, scoreboard is not considering them in run_phase. If I use extract phase then only it able to extract the remaining sequence item . Otherwise it is not happening . Why this problem is coming?

In reply to Subhra Bera:

I think problem is because of objection ,I am not sure if you are waiting for all transactions to complete before dropping the objection from test/seq .
You can use +UVM_PHASE_TRACE and +UVM_OBJECTION_TRACE defines to debug the objections and phase resp and check why objections are getting dropped before all transactions are finished . You need to put some delay before objection drop .

Also if you don’t want to control objections (put delay for dropping objection) , use set_drain_time ,so that even if objections are dropped , run_phase will continue to run for defined drain time .

In reply to nikhilverif:

I do not believe this is an objection problem.
I do not understand why you are using a queue. You should rely on the mechanisms the UVM library is offering to you, like uvm_analysis_fifo. Then you will not run into trouble. And you should do the compare on the fly in the run_phase. Comparing the data in the check_phase is wasting resources in your testbench, resulting in slowing down the simulation.

All those data which I am sending through driver is coming to scoreboard. But as first 8 sequence item is for writing into DUT registers so these data are coming quickly to scoreboard. But for last 4 seq_item we have to wait because we have to read from dut registers. I am checking by disabling the EXTRACT_PHASE of the above scoreboard. Actually it is writing in scoreboard but trough write method but the scoreboard is poping out first 8 queue item because it is stored early and finishing the run phase of scoreboard. Look what is coming----------

UVM_INFO @ 0: reporter [RNTST] Running test lsbTx0Rx0_test...
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'common' (id=1369) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'common' (id=1369) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'common.build' (id=1405) Scheduled from phase common
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'common.build' (id=1405) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'common.build' (id=1405) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'common.connect' (id=1415) Scheduled from phase common.build
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'common.connect' (id=1415) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'common.connect' (id=1415) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'common.end_of_elaboration' (id=1424) Scheduled from phase common.connect
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'common.end_of_elaboration' (id=1424) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'common.end_of_elaboration' (id=1424) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'common.start_of_simulation' (id=1433) Scheduled from phase common.end_of_elaboration
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'common.start_of_simulation' (id=1433) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_root.svh(613) @ 0: reporter [UVMTOP] UVM testbench topology:
-----------------------------------------------------------------
Name                       Type                       Size  Value
-----------------------------------------------------------------
uvm_test_top               lsbTx0Rx0_test             -     @2267
  env                      spi_env                    -     @2352
    apb                    apb_agent                  -     @2390
      ap                   uvm_analysis_port          -     @3824
      driver               apb_driver                 -     @3198
        rsp_port           uvm_analysis_port          -     @3272
        seq_item_port      uvm_seq_item_pull_port     -     @3235
      monitor              apb_monitor                -     @3790
        ap                 uvm_analysis_port          -     @3878
      sequencer            apb_sequencer              -     @3305
        rsp_export         uvm_analysis_export        -     @3349
        seq_item_export    uvm_seq_item_pull_imp      -     @3759
        arbitration_queue  array                      0     -    
        lock_queue         array                      0     -    
        num_last_reqs      integral                   32    'd1  
        num_last_rsps      integral                   32    'd1  
    spi_1                  spi_agent                  -     @2425
      ap                   uvm_analysis_port          -     @4556
      driver               spi_driver                 -     @3929
        rsp_port           uvm_analysis_port          -     @4004
        seq_item_port      uvm_seq_item_pull_port     -     @3967
      monitor              spi_monitor                -     @4522
        ap                 uvm_analysis_port          -     @4598
      sequencer            spi_sequencer              -     @4037
        rsp_export         uvm_analysis_export        -     @4081
        seq_item_export    uvm_seq_item_pull_imp      -     @4491
        arbitration_queue  array                      0     -    
        lock_queue         array                      0     -    
        num_last_reqs      integral                   32    'd1  
        num_last_rsps      integral                   32    'd1  
    spi_2                  spi_agent                  -     @2456
      ap                   uvm_analysis_port          -     @5251
      driver               spi_driver                 -     @4645
        rsp_port           uvm_analysis_port          -     @4713
        seq_item_port      uvm_seq_item_pull_port     -     @4679
      monitor              spi_monitor                -     @5220
        ap                 uvm_analysis_port          -     @5287
      sequencer            spi_sequencer              -     @4745
        rsp_export         uvm_analysis_export        -     @4786
        seq_item_export    uvm_seq_item_pull_imp      -     @5188
        arbitration_queue  array                      0     -    
        lock_queue         array                      0     -    
        num_last_reqs      integral                   32    'd1  
        num_last_rsps      integral                   32    'd1  
    spi_3                  spi_agent                  -     @2487
      ap                   uvm_analysis_port          -     @5943
      driver               spi_driver                 -     @5337
        rsp_port           uvm_analysis_port          -     @5405
        seq_item_port      uvm_seq_item_pull_port     -     @5371
      monitor              spi_monitor                -     @5912
        ap                 uvm_analysis_port          -     @5979
      sequencer            spi_sequencer              -     @5437
        rsp_export         uvm_analysis_export        -     @5478
        seq_item_export    uvm_seq_item_pull_imp      -     @5880
        arbitration_queue  array                      0     -    
        lock_queue         array                      0     -    
        num_last_reqs      integral                   32    'd1  
        num_last_rsps      integral                   32    'd1  
    spi_4                  spi_agent                  -     @2518
      ap                   uvm_analysis_port          -     @6635
      driver               spi_driver                 -     @6029
        rsp_port           uvm_analysis_port          -     @6097
        seq_item_port      uvm_seq_item_pull_port     -     @6063
      monitor              spi_monitor                -     @6604
        ap                 uvm_analysis_port          -     @6671
      sequencer            spi_sequencer              -     @6129
        rsp_export         uvm_analysis_export        -     @6170
        seq_item_export    uvm_seq_item_pull_imp      -     @6572
        arbitration_queue  array                      0     -    
        lock_queue         array                      0     -    
        num_last_reqs      integral                   32    'd1  
        num_last_rsps      integral                   32    'd1  
    spi_5                  spi_agent                  -     @2549
      ap                   uvm_analysis_port          -     @7327
      driver               spi_driver                 -     @6721
        rsp_port           uvm_analysis_port          -     @6789
        seq_item_port      uvm_seq_item_pull_port     -     @6755
      monitor              spi_monitor                -     @7296
        ap                 uvm_analysis_port          -     @7363
      sequencer            spi_sequencer              -     @6821
        rsp_export         uvm_analysis_export        -     @6862
        seq_item_export    uvm_seq_item_pull_imp      -     @7264
        arbitration_queue  array                      0     -    
        lock_queue         array                      0     -    
        num_last_reqs      integral                   32    'd1  
        num_last_rsps      integral                   32    'd1  
    spi_6                  spi_agent                  -     @2580
      ap                   uvm_analysis_port          -     @8019
      driver               spi_driver                 -     @7413
        rsp_port           uvm_analysis_port          -     @7481
        seq_item_port      uvm_seq_item_pull_port     -     @7447
      monitor              spi_monitor                -     @7988
        ap                 uvm_analysis_port          -     @8055
      sequencer            spi_sequencer              -     @7513
        rsp_export         uvm_analysis_export        -     @7554
        seq_item_export    uvm_seq_item_pull_imp      -     @7956
        arbitration_queue  array                      0     -    
        lock_queue         array                      0     -    
        num_last_reqs      integral                   32    'd1  
        num_last_rsps      integral                   32    'd1  
    spi_7                  spi_agent                  -     @2611
      ap                   uvm_analysis_port          -     @8711
      driver               spi_driver                 -     @8105
        rsp_port           uvm_analysis_port          -     @8173
        seq_item_port      uvm_seq_item_pull_port     -     @8139
      monitor              spi_monitor                -     @8680
        ap                 uvm_analysis_port          -     @8747
      sequencer            spi_sequencer              -     @8205
        rsp_export         uvm_analysis_export        -     @8246
        seq_item_export    uvm_seq_item_pull_imp      -     @8648
        arbitration_queue  array                      0     -    
        lock_queue         array                      0     -    
        num_last_reqs      integral                   32    'd1  
        num_last_rsps      integral                   32    'd1  
    spi_8                  spi_agent                  -     @2642
      ap                   uvm_analysis_port          -     @9403
      driver               spi_driver                 -     @8797
        rsp_port           uvm_analysis_port          -     @8865
        seq_item_port      uvm_seq_item_pull_port     -     @8831
      monitor              spi_monitor                -     @9372
        ap                 uvm_analysis_port          -     @9439
      sequencer            spi_sequencer              -     @8897
        rsp_export         uvm_analysis_export        -     @8938
        seq_item_export    uvm_seq_item_pull_imp      -     @9340
        arbitration_queue  array                      0     -    
        lock_queue         array                      0     -    
        num_last_reqs      integral                   32    'd1  
        num_last_rsps      integral                   32    'd1  
    spi_scb                spi_scoreboard             -     @2673
      apb_imp              uvm_analysis_imp_apb_port  -     @9529
      spi_imp              uvm_analysis_imp_spi_port  -     @9494
    spi_vseqr              spi_virsequencer           -     @2708
      rsp_export           uvm_analysis_export        -     @2753
      seq_item_export      uvm_seq_item_pull_imp      -     @3165
      arbitration_queue    array                      0     -    
      lock_queue           array                      0     -    
      num_last_reqs        integral                   32    'd1  
      num_last_rsps        integral                   32    'd1  
-----------------------------------------------------------------

UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'common.start_of_simulation' (id=1433) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'common.run' (id=1442) Scheduled from phase common.start_of_simulation
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm' (id=1510) Scheduled from phase common.start_of_simulation
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'common.run' (id=1442) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm' (id=1510) Starting phase
UVM_INFO @ 0: run [OBJTN_TRC] Object uvm_test_top raised 1 objection(s): count=1  total=1
UVM_INFO @ 0: run [OBJTN_TRC] Object uvm_top added 1 objection(s) to its total (raised from source object uvm_test_top): count=0  total=1
SDI/Verilog Transaction Recording Facility Version 15.20-p001
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm' (id=1510) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched' (id=1520) Scheduled from phase uvm
stop -create -name Randomize -randomize
Created stop Randomize

UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched' (id=1520) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched' (id=1520) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.pre_reset' (id=1535) Scheduled from phase uvm.uvm_sched
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.pre_reset' (id=1535) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.pre_reset' (id=1535) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.pre_reset' (id=1535) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.reset' (id=1569) Scheduled from phase uvm.uvm_sched.pre_reset
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.reset' (id=1569) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.reset' (id=1569) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.reset' (id=1569) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.post_reset' (id=1603) Scheduled from phase uvm.uvm_sched.reset
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.post_reset' (id=1603) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.post_reset' (id=1603) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.post_reset' (id=1603) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.pre_configure' (id=1637) Scheduled from phase uvm.uvm_sched.post_reset
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.pre_configure' (id=1637) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.pre_configure' (id=1637) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.pre_configure' (id=1637) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.configure' (id=1671) Scheduled from phase uvm.uvm_sched.pre_configure
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.configure' (id=1671) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.configure' (id=1671) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.configure' (id=1671) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.post_configure' (id=1705) Scheduled from phase uvm.uvm_sched.configure
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.post_configure' (id=1705) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.post_configure' (id=1705) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.post_configure' (id=1705) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.pre_main' (id=1739) Scheduled from phase uvm.uvm_sched.post_configure
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.pre_main' (id=1739) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.pre_main' (id=1739) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.pre_main' (id=1739) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.main' (id=1773) Scheduled from phase uvm.uvm_sched.pre_main
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.main' (id=1773) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.main' (id=1773) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.main' (id=1773) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.post_main' (id=1807) Scheduled from phase uvm.uvm_sched.main
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.post_main' (id=1807) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.post_main' (id=1807) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.post_main' (id=1807) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.pre_shutdown' (id=1841) Scheduled from phase uvm.uvm_sched.post_main
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.pre_shutdown' (id=1841) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.pre_shutdown' (id=1841) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.pre_shutdown' (id=1841) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.shutdown' (id=1875) Scheduled from phase uvm.uvm_sched.pre_shutdown
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.shutdown' (id=1875) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.shutdown' (id=1875) No objections raised, skipping phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 0: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.shutdown' (id=1875) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 0: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched.post_shutdown' (id=1909) Scheduled from phase uvm.uvm_sched.shutdown
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 0: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched.post_shutdown' (id=1909) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1543) @ 0: reporter [PH/TRC/SKIP] Phase 'uvm.uvm_sched.post_shutdown' (id=1909) No objections raised, skipping phase
UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 5000: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h10                                  
  data                         integral      32    'h380a                                
  we                           integral      1     'h1                                   
  begin_time                   time          64    5000                                  
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 22500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10180
  addr  integral      32    'h10  
  data  integral      32    'h380a
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 22500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10145
  addr  integral      32    'h10  
  data  integral      32    'h380a
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 22500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h14                                  
  data                         integral      32    'h4                                   
  we                           integral      1     'h1                                   
  begin_time                   time          64    22500                                 
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 42500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10182
  addr  integral      32    'h14  
  data  integral      32    'h4   
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 42500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10156
  addr  integral      32    'h14  
  data  integral      32    'h4   
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 42500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h18                                  
  data                         integral      32    'h4                                   
  we                           integral      1     'h1                                   
  begin_time                   time          64    42500                                 
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 62500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10143
  addr  integral      32    'h18  
  data  integral      32    'h4   
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 62500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10205
  addr  integral      32    'h18  
  data  integral      32    'h4   
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 62500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h0                                   
  data                         integral      32    'h10203040                            
  we                           integral      1     'h1                                   
  begin_time                   time          64    62500                                 
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 82500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10202    
  addr  integral      32    'h0       
  data  integral      32    'h10203040
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 82500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10158    
  addr  integral      32    'h0       
  data  integral      32    'h10203040
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 82500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h4                                   
  data                         integral      32    'h10203040                            
  we                           integral      1     'h1                                   
  begin_time                   time          64    82500                                 
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 102500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10186    
  addr  integral      32    'h4       
  data  integral      32    'h10203040
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 102500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10200    
  addr  integral      32    'h4       
  data  integral      32    'h10203040
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 102500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h8                                   
  data                         integral      32    'h10203040                            
  we                           integral      1     'h1                                   
  begin_time                   time          64    102500                                
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 122500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10208    
  addr  integral      32    'h8       
  data  integral      32    'h10203040
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 122500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10179    
  addr  integral      32    'h8       
  data  integral      32    'h10203040
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 122500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'hc                                   
  data                         integral      32    'h50607080                            
  we                           integral      1     'h1                                   
  begin_time                   time          64    122500                                
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 142500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10217    
  addr  integral      32    'hc       
  data  integral      32    'h50607080
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 142500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10171    
  addr  integral      32    'hc       
  data  integral      32    'h50607080
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 142500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h10                                  
  data                         integral      32    'h390a                                
  we                           integral      1     'h1                                   
  begin_time                   time          64    142500                                
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 162500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @3857 
  addr  integral      32    'h10  
  data  integral      32    'h390a
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 162500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10134
  addr  integral      32    'h10  
  data  integral      32    'h390a
  we    integral      1     'h1   
----------------------------------

in SPI monitor:: TX_NEG=0
in SPI monitor:: Gobsy=1
in SPI monitor::LSB=1
in SPI monitor::char_length=  10 
in SPI driver:: RX_NEG=0
in SPI driver:: Gobsy=1
in SPI driver::LSB=1
in SPI driver::char_length= 10 
UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/spi_agent/spi_driver.svh(58) @ 162600: uvm_test_top.env.spi_3.driver [driver] transaction from spi driver 
 ---------------------------------------------------------------------------------------------
Name                           Type          Size  Value                                     
---------------------------------------------------------------------------------------------
spi_req                        spi_seq_item  -     @9609                                     
  MOSIDATA                     integral      128   'h0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx       
  MISODATA                     integral      128   'h2c63fc6fbe9785dcc6a6bf27f0d1da48        
  SS                           integral      8     'h0xx                                     
  begin_time                   time          64    162600                                    
  depth                        int           32    'd2                                       
  parent sequence (name)       string        9     spi_seq_3                                 
  parent sequence (full name)  string        42    uvm_test_top.env.spi_3.sequencer.spi_seq_3
  sequencer                    string        32    uvm_test_top.env.spi_3.sequencer          
---------------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(67) @ 662600: uvm_test_top.env.spi_scb [spi_scoreboard] writing in spi write method 
 -------------------------------------------------------------------
Name        Type          Size  Value                              
-------------------------------------------------------------------
req         spi_seq_item  -     @10028                             
  MOSIDATA  integral      128   'h0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxX40
  MISODATA  integral      128   'h0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxX48
  SS        integral      8     'h0                                
-------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/spi_agent/spi_monitor.svh(146) @ 662600: uvm_test_top.env.spi_3.monitor [monitor] transaction from spi monitor 
 -------------------------------------------------------------------
Name        Type          Size  Value                              
-------------------------------------------------------------------
req         spi_seq_item  -     @10215                             
  MOSIDATA  integral      128   'h0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxX40
  MISODATA  integral      128   'h0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxX48
  SS        integral      8     'h0                                
-------------------------------------------------------------------

                6626MOSIDATA[127:0]::xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx0001000000
 MISODATA[127:0]::xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1001001000
UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(77) @ 662600: uvm_test_top.env.spi_scb [spi_scb] Scoreboard 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10180
  addr  integral      32    'h10  
  data  integral      32    'h380a
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(77) @ 662600: uvm_test_top.env.spi_scb [spi_scb] Scoreboard 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10182
  addr  integral      32    'h14  
  data  integral      32    'h4   
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(77) @ 662600: uvm_test_top.env.spi_scb [spi_scb] Scoreboard 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @10143
  addr  integral      32    'h18  
  data  integral      32    'h4   
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(77) @ 662600: uvm_test_top.env.spi_scb [spi_scb] Scoreboard 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10202    
  addr  integral      32    'h0       
  data  integral      32    'h10203040
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(77) @ 662600: uvm_test_top.env.spi_scb [spi_scb] Scoreboard 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10186    
  addr  integral      32    'h4       
  data  integral      32    'h10203040
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(77) @ 662600: uvm_test_top.env.spi_scb [spi_scb] Scoreboard 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10208    
  addr  integral      32    'h8       
  data  integral      32    'h10203040
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(77) @ 662600: uvm_test_top.env.spi_scb [spi_scb] Scoreboard 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10217    
  addr  integral      32    'hc       
  data  integral      32    'h50607080
  we    integral      1     'h1       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(77) @ 662600: uvm_test_top.env.spi_scb [spi_scb] Scoreboard 
 ----------------------------------
Name    Type          Size  Value 
----------------------------------
req     apb_seq_item  -     @3857 
  addr  integral      32    'h10  
  data  integral      32    'h390a
  we    integral      1     'h1   
----------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 687600: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h0                                   
  data                         integral      32    'h390a                                
  we                           integral      1     'h0                                   
  begin_time                   time          64    687600                                
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 707500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10201    
  addr  integral      32    'h0       
  data  integral      32    'h10203248
  we    integral      1     'h0       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 707500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10182    
  addr  integral      32    'h0       
  data  integral      32    'h10203248
  we    integral      1     'h0       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 707500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h4                                   
  data                         integral      32    'h10203248                            
  we                           integral      1     'h0                                   
  begin_time                   time          64    707500                                
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 727500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10276    
  addr  integral      32    'h4       
  data  integral      32    'h10203040
  we    integral      1     'h0       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 727500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10200    
  addr  integral      32    'h4       
  data  integral      32    'h10203040
  we    integral      1     'h0       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 727500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'h8                                   
  data                         integral      32    'h10203040                            
  we                           integral      1     'h0                                   
  begin_time                   time          64    727500                                
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 747500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10239    
  addr  integral      32    'h8       
  data  integral      32    'h10203040
  we    integral      1     'h0       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 747500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @9674     
  addr  integral      32    'h8       
  data  integral      32    'h10203040
  we    integral      1     'h0       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_driver.svh(41) @ 747500: uvm_test_top.env.apb.driver [driver] transaction from apb driver 
 -----------------------------------------------------------------------------------------
Name                           Type          Size  Value                                 
-----------------------------------------------------------------------------------------
req                            apb_seq_item  -     @10022                                
  addr                         integral      32    'hc                                   
  data                         integral      32    'h10203040                            
  we                           integral      1     'h0                                   
  begin_time                   time          64    747500                                
  depth                        int           32    'd2                                   
  parent sequence (name)       string        7     apb_seq                               
  parent sequence (full name)  string        38    uvm_test_top.env.apb.sequencer.apb_seq
  sequencer                    string        30    uvm_test_top.env.apb.sequencer        
-----------------------------------------------------------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(58) @ 767500: uvm_test_top.env.spi_scb [spi_scoreboard] writing in the apb write method 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10186    
  addr  integral      32    'hc       
  data  integral      32    'h50607080
  we    integral      1     'h0       
--------------------------------------

UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../agents/apb_agent/apb_monitor.svh(49) @ 767500: uvm_test_top.env.apb.monitor [monitor] transaction from apb monitor 
 --------------------------------------
Name    Type          Size  Value     
--------------------------------------
req     apb_seq_item  -     @10241    
  addr  integral      32    'hc       
  data  integral      32    'h50607080
  we    integral      1     'h0       
--------------------------------------

UVM_INFO @ 1412600: run [OBJTN_TRC] Object uvm_test_top dropped 1 objection(s): count=0  total=0
UVM_INFO @ 1412600: run [OBJTN_TRC] Object uvm_test_top all_dropped 1 objection(s): count=0  total=0
UVM_INFO @ 1412600: run [OBJTN_TRC] Object uvm_top subtracted 1 objection(s) from its total (dropped from source object uvm_test_top): count=0  total=0
UVM_INFO @ 1412600: run [OBJTN_TRC] Object uvm_top subtracted 1 objection(s) from its total (all_dropped from source object uvm_test_top): count=0  total=0
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_objection.svh(1271) @ 1412600: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 1412600: reporter [PH/TRC/DONE] Phase 'common.run' (id=1442) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 1412600: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched.post_shutdown' (id=1909) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 1412600: reporter [PH/TRC/SCHEDULED] Phase 'common.extract' (id=1478) Scheduled from phase common.run
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 1412600: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_sched_end' (id=1525) Scheduled from phase uvm.uvm_sched.post_shutdown
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 1412600: reporter [PH/TRC/STRT] Phase 'uvm.uvm_sched_end' (id=1525) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 1412600: reporter [PH/TRC/DONE] Phase 'uvm.uvm_sched_end' (id=1525) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 1412600: reporter [PH/TRC/SCHEDULED] Phase 'uvm.uvm_end' (id=1515) Scheduled from phase uvm.uvm_sched_end
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 1412600: reporter [PH/TRC/STRT] Phase 'uvm.uvm_end' (id=1515) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 1412600: reporter [PH/TRC/DONE] Phase 'uvm.uvm_end' (id=1515) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 1412600: reporter [PH/TRC/STRT] Phase 'common.extract' (id=1478) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 1412600: reporter [PH/TRC/DONE] Phase 'common.extract' (id=1478) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 1412600: reporter [PH/TRC/SCHEDULED] Phase 'common.check' (id=1487) Scheduled from phase common.extract
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 1412600: reporter [PH/TRC/STRT] Phase 'common.check' (id=1487) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 1412600: reporter [PH/TRC/DONE] Phase 'common.check' (id=1487) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 1412600: reporter [PH/TRC/SCHEDULED] Phase 'common.report' (id=1496) Scheduled from phase common.check
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 1412600: reporter [PH/TRC/STRT] Phase 'common.report' (id=1496) Starting phase
UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(159) @ 1412600: uvm_test_top.env.spi_scb [spi_scoreboard] ============================
UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(160) @ 1412600: uvm_test_top.env.spi_scb [spi_scoreboard] Scoreboard Results
UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(169) @ 1412600: uvm_test_top.env.spi_scb [spi_scoreboard] TEST FAILED
UVM_INFO /apple/FRONTEND/projects/SPI/subhra_SPI/SPI_without_RAL/spi_tb/sim/..//../spi_tb/env/spi_scoreboard.svh(171) @ 1412600: uvm_test_top.env.spi_scb [spi_scoreboard] ============================
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 1412600: reporter [PH/TRC/DONE] Phase 'common.report' (id=1496) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 1412600: reporter [PH/TRC/SCHEDULED] Phase 'common.final' (id=1505) Scheduled from phase common.report
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 1412600: reporter [PH/TRC/STRT] Phase 'common.final' (id=1505) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 1412600: reporter [PH/TRC/DONE] Phase 'common.final' (id=1505) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1834) @ 1412600: reporter [PH/TRC/SCHEDULED] Phase 'common.common_end' (id=1392) Scheduled from phase common.final
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1396) @ 1412600: reporter [PH/TRC/STRT] Phase 'common.common_end' (id=1392) Starting phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_phase.svh(1771) @ 1412600: reporter [PH/TRC/DONE] Phase 'common.common_end' (id=1392) Completed phase
UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_report_catcher.svh(705) @ 1412600: reporter [UVM/REPORT/CATCHER] 
--- UVM Report catcher Summary ---


Number of demoted UVM_FATAL reports  :    0
Number of demoted UVM_ERROR reports  :    0
Number of demoted UVM_WARNING reports:    0
Number of caught UVM_FATAL reports   :    0
Number of caught UVM_ERROR reports   :    0
Number of caught UVM_WARNING reports :    0

UVM_INFO /chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_report_server.svh(847) @ 1412600: reporter [UVM/REPORT/SERVER] 
--- UVM Report Summary ---

** Report counts by severity
UVM_INFO :  154
UVM_WARNING :    0
UVM_ERROR :    0
UVM_FATAL :    0
** Report counts by id
[OBJTN_TRC]     6
[PH/TRC/DONE]    27
[PH/TRC/SCHEDULED]    26
[PH/TRC/SKIP]    12
[PH/TRC/STRT]    27
[RNTST]     1
[TEST_DONE]     1
[UVM/RELNOTES]     1
[UVM/REPORT/CATCHER]     1
[UVMTOP]     1
[driver]    13
[monitor]    13
[spi_scb]     8
[spi_scoreboard]    17

Simulation complete via $finish(1) at time 14126 NS + 58
/chicago/tools/cadence/INCISIV15.20/tools.lnx86/methodology/UVM/CDNS-1.2-ML/sv/src/base/uvm_root.svh:551     $finish;

In reply to Subhra Bera:

Are sure all transactions (total 12) come to your scoreboard? Maybe your simulation is finished but there are still trans which havent came to your scoreboard yet.

One more thing, I dont like the way that you are using the queue:


foreach(apb_dataQ[i]) begin
  apb_item_2=apb_dataQ.pop_front();
...

Instead of that, please use:


while(apb_dataQ.size()) begin
  apb_item_2=apb_dataQ.pop_front();
...

In reply to cuonghl:

Yes I checked all the 12 transaction are coming to scoreboard. If I give

wait(apb_dataQ.size()==12) begin
  apb_item_2=apb_dataQ.pop_front();
...

Then it is showing correct result.

In reply to chr_sue:

I removed the queue concept .And used the analysis_fifo but it is also taking only first eight item.

class spi_scoreboard extends uvm_scoreboard;
  `uvm_component_utils(spi_scoreboard)
string m_name;
virtual irq_if irq_if_1;
///golden reference model
logic [31:0] dut_model_w[*];//for mimicing the  writing into the dut reg
logic [31:0] dut_model_r[*];//for mimicing the reading from the dut reg
logic[31:0] ctrl_reg_value;// to know the ctrl register value
logic[127:0] Tx_reg_value,Rx_reg_value;
logic[6:0] char_len;
// for checking purpose
int k,l;

apb_seq_item apb_item,apb_item_2;
spi_seq_item spi_item,spi_item_2;



  uvm_analysis_export #(apb_seq_item) apb_export;
  uvm_analysis_export #(spi_seq_item) spi_export;



  uvm_tlm_analysis_fifo #(apb_seq_item) apb_fifo;
  uvm_tlm_analysis_fifo #(spi_seq_item) spi_fifo;


logic[127:0] MOSIDATA,MISODATA;

extern function new(string name="spi_scoreboard",uvm_component parent =null);
extern function void build_phase(uvm_phase phase);
extern function void connect_phase(uvm_phase phase);
extern task run_phase( uvm_phase phase );
extern function void extract_phase( uvm_phase phase );
extern function void check_phase(uvm_phase phase);
extern function void report_phase( uvm_phase phase );


endclass:spi_scoreboard


 function spi_scoreboard::new (string name="spi_scoreboard", uvm_component parent=null);
    super.new(name, parent);
     m_name=name;
  endfunction : new

function void spi_scoreboard:: build_phase(uvm_phase phase);
 super.build_phase(phase);
 if(!uvm_config_db#(virtual irq_if)::get(this,"","irq_if",irq_if_1))
  `uvm_fatal("NO IRQ IF",{"virtual interface of IRQ must be set for:",get_full_name(),".irq_if"});
 //spi_imp=new("spi_imp",this);
 //apb_imp=new("apb_imp",this);
   apb_fifo = new("apb_fifo", this);
   spi_fifo = new("spi_fifo", this);
   apb_export = new("apb_export", this);
   spi_export = new("spi_export", this);
endfunction:build_phase

function void spi_scoreboard:: connect_phase(uvm_phase phase);
   apb_export.connect(apb_fifo.analysis_export);
   spi_export.connect(spi_fifo.analysis_export);
endfunction







task spi_scoreboard:: run_phase( uvm_phase phase );
      forever begin

        $display("Apb_fifo Size:%d",apb_fifo.used);
           apb_fifo.get(apb_item_2);
          `uvm_info(m_name,$sformatf("Scoreboard \n %s",apb_item_2.sprint()),UVM_LOW)
              if(apb_item_2.we) begin
                    dut_model_w[apb_item_2.addr]=apb_item_2.data;
                    $display("dut_model_w=%p",dut_model_w);
                end
          
             else begin
               dut_model_r[apb_item_2.addr]=apb_item_2.data;
                //$display("dut_model_r=%p",dut_model_r);
              end


         

           Tx_reg_value={dut_model_w[32'h0c],dut_model_w[32'h08],dut_model_w[32'h04],dut_model_w[32'h00]};
           Rx_reg_value={dut_model_r[32'h0c],dut_model_r[32'h08],dut_model_r[32'h04],dut_model_r[32'h00]};

           ctrl_reg_value = dut_model_w[32'h10];
           char_len=ctrl_reg_value[6:0];
                     spi_fifo.get(spi_item_2);
                     spi_item_2.print();
                      MOSIDATA=spi_item_2.MOSIDATA;
                      MISODATA=spi_item_2.MISODATA;
                      $display($time,"MOSIDATA[127:0]::%b\n MISODATA[127:0]::%b",MOSIDATA[127:0],MISODATA[127:0]);

            end
 
endtask






function void spi_scoreboard::  check_phase(uvm_phase phase);
super.check_phase(phase);

//compare logic
    begin
      for(int j=0;j<char_len;j++) begin
          if(MOSIDATA[j]===Tx_reg_value[j])
             k++;
          else 
            break;
       end

      for(int m=0;m<char_len;m++) begin
          if(MISODATA[m]===Rx_reg_value[m])
             l++;
          else
            break;
       end
   end

endfunction




function void spi_scoreboard:: report_phase( uvm_phase phase );
//report
 super.report_phase(phase);
    `uvm_info(get_type_name(), "============================", UVM_NONE)
    `uvm_info(get_type_name(), "Scoreboard Results", UVM_NONE)
     if(char_len==k&&char_len==l)begin
                   `uvm_info(get_type_name(), "TEST PASSED", UVM_NONE)
                   `uvm_info(m_name,$sformatf("CONSIDERED CHARACTER LENGTH::%d",char_len),UVM_LOW)
                   `uvm_info(m_name,$sformatf("DATA SENT FROM APB MASTER IS MATCHED WITH DATA IN MOSI LINE::\n\n\n       MOSI DATA SENT FROM APB MASTER::%b\n MOSI DATA COLLECTED FROM SPI MONITOR::%b\n\n",Tx_reg_value[127:0],  MOSIDATA[127:0] ),UVM_LOW)
                   `uvm_info(m_name,$sformatf("DATA SENT FROM SPI SLAVE IS MATCHED WITH DATA IN MISO LINE::\n\n\n        MISO DATA SENT FROM SPI SLAVE::%b\n MISO DATA COLLECTED FROM APB MONITOR::%b\n\n",MISODATA[127:0],Rx_reg_value[127:0]),UVM_LOW)

          end
        else begin 
                 `uvm_info(get_type_name(), "TEST FAILED", UVM_NONE)
     
    `uvm_info(get_type_name(), "============================", UVM_NONE)
          end
endfunction