Running a sequence item in sequence and sequencer

In reply to chr_sue:

Certainly, but my question is Can I do my sequencer as

//Sequencer
import uvm_pkg::*;
`include “uvm_macros.svh”

class pkt_sequencer extends uvm_sequencer#(Packet);

`uvm_component_utils(pkt_sequencer);

function new(string name="Sequencer", uvm_component parent);
	super.new(name, parent);
endfunction

function build_phase(uvm_phase phase);
	super.build_phase(phase);
endfunction

    virtual task void run_phase(uvm_phase phase);
            **pkt_sequence.start(this);
            //OR
            `uvm_do(pkt_sequence);**
    endtask

endclass