Riviera-PRO EDU 2014.10 gives error around overriding 'write' method of uvm_subscriber

Hi All,

Could somebody help with the error in Error

Your code looks legal to me and works fine in Questa.