Regarding set_timeout

Hi All,
In the base test I observe call to set_timeout

// Within build_phase() of  base_test
  uvm_top.set_timeout(1000ns,1); // 1st argument is essentially 1us

In the compilation log I observe :: -timescale=1ns/100ps

Within top_tb ::

`timescale 1ns/1ps
import uvm_pkg::*;
import test_pkg::*;

module tb_top;
...............
initial $timeformat(-9, 3, "ns", 10);
...............
endmodule

However when timeout occurs I observe ::
UVM_FATAL … / uvm_phase.svh(1512) @ 1000000.000ns: reporter [PH_TIMEOUT] Explicit timeout of 1000000.000ns hit, indicating a probable testbench issue

Why is it the timeout taken as 1ms instead of 1us ( 1000ns ) provided to set_timeout ?

Same problem in OVM that they never fixed in UVM.