Regarding error in class extension specification

thank you all for your help now i am able to compile my code successfully

In reply to mjet08:

You will need to show the actual error and the actual code that has the error.

In reply to dave_59:

Following up on Dave’s comment, it is very hard to determine where your error is coming from since you didn’t show how your code is partitioned into separate files.

However, as a rule, wherever you import “uvm_pkg::*”, you should immediately `include “uvm_macros.svh”. You don’t follow this rule for your package ‘packkage’, and hence the first errors you are seeing. The remainder are probably cascading errors, but you will have to continue debugging.

I did notice several other errors, but that exercise will be left to the reader.

In reply to cgales:

Hi,

After adding `include “uvm_macros.svh” in package file there are couple of other errors as below :

  1. In sequence1.sv file,
    you need to add “;” instead of “,” in uvm_do_with macros while applying constraint. ex: uvm_do_with(item,{item.data==8’b10011011[b]; item.admr==3’d3;})

  2. In driver.sv file,
    Use wbm_intf.wb_ack_o instead of wb_ack_o. Remove bm_intf.wb_dat_i = dat; line as it is repeating and also not referring pkt.dat variable.

  3. In scoreboard file,
    use write_rcvd_pkt and write_sent_pkt instead of using simple write function as there are multiple write function. Use begin-end while using the macros (in if-else loop ).

  4. In receiver.sv file,
    Define any local variable declaration just after task.
    ex:
    virtual task run_phase(uvm_phase phase);
    bit [7:0] byts;
    phase.raise_objection(this);
    Also add “packet pkt” before using pkt.

There might be couple of other errors.

In reply to jimik:

Can anyone share the tag used in order to make code readable ?
[\b] or [/b] something like that
thanks

In reply to aming:

https://verificationacademy.com/forums/ovm/please-format-your-code-code-and/code-tags