Reading a .txt file in Systemverilog and loading the contents of the file into an associative array

Hi!
I want to read a text file in UVM Driver and load the contents of the file into an associative array. How can I do it?

In reply to piyushkamalakar:
You will need to describe the data you want to load, and how that fits into an associative array. There are lots of file I/O examples if you search for it. Here is one Read txt file from sequence [problem with count] | Verification Academy