Questa has encountered an unexpected internal error: ../../src/vlog/vrslvdecl.c(3514)

Hi,

I am facing the following error:

** Error: D:/AHB/AHB_MASTER/tb/ahb_master_agent_active.sv(10): Questa has encountered an unexpected internal error: …/…/src/vlog/vrslvdecl.c(3514) <class_sig && sigIsOrdinaryClassRef(class_sig)>. Please contact Questa support at
Here is the code which is returning a UVM error:

include "./tb/ahb_master_sequencer.sv" include “uvm_macros.svh”
import uvm_pkg::*;

class ahb_master_agent_active extends uvm_agent;

// This field determines whether an agent is active or passive.
uvm_active_passive_enum is_active = UVM_ACTIVE;

// ahb_master_monitor monitor;
// ahb_master_collector collector;
// ahb_transaction transaction;
ahb_master_sequencer sequencer;
ahb_master_driver driver;

uvm_component_utils_begin(ahb_master_agent_active) uvm_field_enum(uvm_active_passive_enum, is_active, UVM_ALL_ON)
`uvm_component_utils_end