Possible Correction in LRM regarding `timescale

While revising about time_scale and time_precision from the LRM .


LRM  Section  22.7  ::  `timescale 10 us / 100 ns
The time values in the design elements that follow this directive are multiples of 10 us because the time_unit argument is “10 us.” 
Delays are rounded to within one tenth of a microsecond because the time_precision argument is “100 ns,” or one tenth of a microsecond.

However when I tried the following Code :: EDA_LINK .

As per my expectation ::


 Ratio  of  time_unit  /  time_precision  is  10  us  /  100 ns  i.e   1  us  / 10 ns . 

 As  10 ns  ==  0.01 us ( time_precision  changed  to  time_unit )  ,  delays  are  rounded  to  2  decimal  places 

Hence in the O/P I Observe delays are rounded to 2 decimal places .

I believe the LRM needs a correction i.e " Delays are rounded to within one hundredth of a microsecond "

Please correct me if wrong .

In reply to hisingh:

You are forgetting that the value returned by $realtime is scaled by 10us, the second decimal places is 10ths of a 1us