Phases execution using objection

Hi,

I wanted to know that simulation ends in 0 time when no objection is raised , but why doesn’t it wait till the completion of seq.start(seqr) statement because usually in begin end block control moves to the next statement only after the completion of previous one ??

In reply to Shipra_s:

As part of the phase termination process, UVM will check to see if there are any outstanding objections. This method is used to allow uvm_components to use forever loops (such as in a driver) and not have to determine whether their run_phase() should terminate.

Therefore, if you don’t raise any objections, the run_phase() will immediately terminate, even if you start() a sequence.