In reply to chr_sue:
I tried like this way also, by including the package file
`include "my_package.sv"
module top;
`include "uvm_macros.svh"
import uvm_pkg::*;
import my_package::*;
endmodule
In reply to chr_sue:
I tried like this way also, by including the package file
`include "my_package.sv"
module top;
`include "uvm_macros.svh"
import uvm_pkg::*;
import my_package::*;
endmodule