Objection raise

I am calling a start method to run a sequence from testcase run phase. I am just passing a name of sequencer.
like
seq_handle.(env.mst.seqnr).
When my pre_body of sequence is run then i am checking some condition for getting objection_raise.
like: if(starting_phase !=null)
begin
objection_raise …//
end
Here i am getting starting_phase is null. What is the reason i dont know.

In reply to rush2k:

Is Nobody know the solution of doubt ?

In reply to rush2k:

Don’t quote me on this, but I remember hearing that starting_phase is only set for default sequences for the specific phase. If you start your sequence manually (using start(…)), then it’s supposed to be null.

You should always raise the phase objection in your test, before starting any sequences. Then you don’t have to worry about raising the objection in the sequence. We don’t recommend using pre_body (see the UVM Cookbook).