Need help in UVM binds

Hi, I need to bind two interfaces to a single UVC, is that possible? Can you please help me, thanks in advance.

In reply to SaiGanesh685:

What do oyou mean with ‘binding’ 2 interfaces to a UVC?
A UVC should have a virtual interface you can directly connect.
And per pinlevel/virtual interface you have exactly 1 UVC. This is the common architecture.