In reply to dave_59:
In reply to saritr:
Typically you `include files into a package instead of putting the file on the command line. Which file defines the package that defines paknx_transaction?
You may want to read SystemVerilog Coding Guidelines: Package import versus `include - Verification Horizons
In my pakmx_tb_top I do the following:
module pakmx_tb_top;
import uvm_pkg::*;
`include “uvm_macros.svh”
In the script file (run_src.bat) I do:
vmap work work
vlog +incdir+./uvm-1.2/src ./uvm-1.2/src/uvm_pkg.sv ./uvm-1.2/src/dpi/uvm_dpi.cc -ccflags -DQUESTA
vlog -vs +incdir+./uvm-1.2/src/uvm_macros.svh
vlog design_hdl/packet_mux.sv
vlog pakmx_if.sv
vlog pakmx_config.sv pakmx_sequence.sv pakmx_agent.sv pakmx_env.sv pakmx_test.sv pakmx_driver.sv pakmx_pkg.sv pakmx_tb_top.sv