In reply to saritr:
Go with this approach,
- Include all your testbench class files in a package, import this package in top module. And you need to compile this package with top module and interface.
Or 2) If you are compiling tb class files with vlog, you need to import uvm_pkg globally, outside module. e.g.
import uvm_pkg::*;
`include "uvm_macros.svh"
module pakmx_tb_top;
//Code
endmodule //pakmx_tb_top