In reply to nhatd2k:
You can start any number of sequences on different sequencers.
But your sequence implementatons are quite complicated.
Simply write:
task body();
repeat (1) begin
trans = cxsb_trans_c::type_id::create("trans");
`uvm_info("SEQ",$sformatf("trans"),UVM_NONE)
start_item(trans);
trans.randomize();
trans.flit.Data = 2;
`uvm_info(get_type_name(), $sformatf("Date = %h", trans.flit.Data))
finish_item(trans);
end
endtask
And check if your driver is not hanging.