Making interface bfm tasks transparent at sequence level

Hi,

I have created a BFM-interface with all signals and tasks defined within it.
The tasks in the bfm are accessible in all the components like drivers and monitors.
Is there some way where in I can make use of it in the sequences also? Since this does not have the build phase , coz its an object.
I’m kind of swimming for solutions without having to break it in large scale.

In reply to rudra2255:

you can try uvm_config_db#(virutal interface) ::get(…) inside of the body, or
via sequencer to get virtual interface or
class myseq #(virtual interface ) to pass your interface to myseq.

depends on how you build your tb.

You should only access your BFM interface via your driver and monitor. Accessing them outside of these contexts is not recommended per the UVM guidelines.