Is there any difference b/w “(##1(ExprA throughout ExprB[->1]) ##0 (ExprC))” and “(##1(ExprA throughout ExprB) ##0 (ExprC))”?

Expression 1: (##1(ExprA throughout ExprB[->1]) ##0 (ExprC))
Expression 2: “(##1(ExprA throughout ExprB) ##0 (ExprC))
Is there any difference b/w above two expression if used in property? The first expression is using goto repetition with constant range one, is it equivalent if i don’t use it i.e. second expression?

In reply to shubamg:
Those 2 sequences are definitly different:
Expression 1: (##1(ExprA throughout ExprB[->1]) ##0 (ExprC))
Expression 2: (##1(ExprA throughout ExprB) ##0 (ExprC))

b[->1] is equivalent to: !b[*0:$] ##1 b
Thus with the goto the sequence may last 1 cycle or an infinite number of cycles

Expression 2: (##1(ExprA throughout ExprB) ##0 (ExprC))
Since ExprB is one cycle, expression 2 becomes
(##1(ExprA and ExprB) ##0 (ExprC)) // the sequence “and”
and that can be simplified as
(##1(ExprA && ExprB) ##0 (ExprC)) // sequence “and” of 2 expression is same as Boolean &&

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr


  1. SVA Alternative for Complex Assertions
    https://verificationacademy.com/news/verification-horizons-march-2018-issue
  2. SVA: Package for dynamic and range delays and repeats - SystemVerilog - Verification Academy
  3. SVA in a UVM Class-based Environment
    https://verificationacademy.com/verification-horizons/february-2013-volume-9-issue-1/SVA-in-a-UVM-Class-based-Environment