Is there a query function for an uvm_component class to know which sub-phase (of run) is executing currently?

From a uvm_component class::run_phase, I want to know which sub-phase is currently executing? Is there any global query function available? cant find any in docs.

In reply to rmozhiku:

I don’t think there is such a function. Given that each uvm_component is running in it’s own thread, there would be no guarantee that the answer you got from such a function would still be valid after it returned and you acted upon it.

We don’t recommend using sub-phases and suggest that you use sequences for synchronization instead.