Is it possible to pass a dynamic array through uvm_config_db#(...)::set/get

In reply to dave_59:

Thank you very much Dave!!
I have few questions regards the uvm_queue.

  1. I saw in different places over the internet that a uvm_queue can be created either by new():

    class costume_sb extends uvm_scoreboard;
        //.... 
        uvm_queue#(trans_t) txn_queue;
        //..
        function void build_phase(uvm_phase phase);
            super.build_phase(phase);
            txn_queue = new();
        endfuction
    endclass 
   

Or by using the create() method:


   class env extends uvm_env;

      uvm_queue#(string) my_queue;
 
      function void build_phase(uvm_phase phase);
          my_queue = uvm_queue#(string)::type_id::create("my_queue");
      endfunction
 
   endclass
   

What is the difference between these 2 kinds of creation the uvm_queue?

  1. Regards the uvm_queue methods described in the UVM documentation reference.
    There is one method called: get_global_queue()
    How is it used?
    Is there any good code example and explanation how to use it?

Thanks in advance,
Michael