Is $dumpvars(0, <some_top>); supposed to dump all submodules and signals under <some_top> in Questa 10.4?

Hi,

I have a test bench that has a top that has the following code in it to dump all vars in the top module.

initial begin
  $dumpfile("dump.vcd");
  $dumpvars(0, top.atop);
end

atop is a module w/:

module apb_top(
  clk,
  rst,...
);
  b i_b(.clk(clk),.rst(rst));
endmodule

module b(
  clk,
  rst
);
  a i_a(.clk(clk),.rst(rst));
endmodule

module a(
  clk,
  rst
);
endmodule

The resulting dump.vcd though only show the signals of atop. The instance i_b is there too but no signals. Module a is not shown in i_b.

Please help me with this issue.

Thanks,
Martin

In reply to Martin John H. Borja:
$dumpvar has levels: This argument specifies the levels of hierarchy, consistent with the corresponding argument to the $dumpvars system task (see 1800-2012 section 21.7.1.2). If this argument is not specified, it defaults to 0 (i.e., the specified module and in all module instances below the specified module). Example:

$dumpvars (1, top); // Because the first argument is a 1, 
// this invocation dumps all variables within the module top;
// it does not dump variables in any of the modules instantiated by module top.

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us

  • SVA Handbook 4th Edition, 2016 ISBN 978-1518681448
  • A Pragmatic Approach to VMM Adoption 2006 ISBN 0-9705394-9-5
  • Using PSL/SUGAR for Formal and Dynamic Verification 2nd Edition, 2004, ISBN 0-9705394-6-0
  • Real Chip Design and Verification Using Verilog and VHDL, 2002 isbn 0-9705394-2-8
  • Component Design by Example ", 2001 ISBN 0-9705394-0-1
  • VHDL Coding Styles and Methodologies, 2nd Edition, 1999 ISBN 0-7923-8474-1
  • VHDL Answers to Frequently Asked Questions, 2nd Edition ISBN 0-7923-8115

Hi Ben,

Thanks to your reply.
I am also using the same verilog LRM.
The fix though to my problem is tool specific.
For Questa, there is a flag that must be set during compilation to acceess the sub modules and ports in the top design.
This is entirely different in other tools.

Thanks,
Martin