Interview Questions on Assertions

Hi Ben, thanks for writing the answers in a very easy SVA. Can we write the Q5 assertion this way?

ap5_aa_nob: assert property(@ (posedge clk) 
($rose(a) && (state == ACTIVE1))[->2] intersect !b[*1:$] |-> ##[1:3] state == START;