When a package is imported in a module. does it also import the packages nested within it too? What I mean is assume I have the following example:
// Code your testbench here
// or browse Examples
package p;
reg p1;
endpackage
package q;
import p::*;
reg q1;
endpackage
module test;
import p::*;
import q::*;
task q_load;
reg temp;
begin
fork:FJA
reg temp;
#200 q1 <= p1;
#100 $display ("Statement 1 of package FJA ", $time);
#200 q1 <= 0;
#150 $display ("Statement 2 of package FJA ", $time);
join_any
$display("Out of fork join of pkg::task", $time);
end
endtask // q_load
initial begin
q1 = 0;
p1 = 1;
fork
q_load;
#50 $display ("Inside fork join of module ", $time);
join_any
// $display("Value of q::q_load.temp=%d", q::q_load.temp);
disable q_load.FJA;
$display ("Outside fork join of module", $time);
#400 $finish;
end
endmodule