Q. Why doesn’t the control come out of wait_modifed method?
interface chip_intf;
logic [7:0] lvds_clk_p;
wire [7:0] gpio;
logic rowsel0, rowsel256;
logic precharge0, precharge256;
reg gpio11_ind;
bit [6:0] frm_row_end_t;
initial
begin
$display($time," - Waiting to receive RE\n"); // Gets displayed
uvm_config_db#(bit[6:0])::**wait_modified(null,"*","RE");**
$display($time," - Received RE value\n"); // time stamp and info not getting displayed
if(!uvm_config_db #(bit[6:0])::get(null, "*", "RE",frm_row_end_t))
begin
`uvm_fatal("Chip Interface", "Could not find frm_row_end_t \n")
end
$display("The value of frame_row_end = %0d\n",frm_row_end_t);
end
endinterface
// ENV Class
uvm_config_db#()::set with a variable in the End_of_Elaboration_Phase of my_env extended from uvm_env;