Ignore bin usage inside cross coverage

Can we use an ignore bin of a coverpoint inside a cross coverage as i have tried below

covergroup i2c_cg;//{
		ADDRESS: coverpoint `TX.addr{
				bins ADDR = {7'h27};
				ignore_bins OTHER_ADDR = {!{7'h27}}; 
		}

		ACKN: coverpoint `TX.ack{
				bins ACK = {0};
				bins NACK = {1};
		}
		
		ACKN_X_ADDR: cross ACKN, ADDRESS {
				illegal_bins = binsof(ADDRESS.OTHER_ADDR) && binsof(ACKN.ACK);
				illegal_bins = binsof(ADDRESS.ADDR) && binsof(ACKN.NACK);
		}
	endgroup//}

In reply to Deepak M:

The LRM says “No cross coverage bins shall be created for coverpoint bins that are specified as default, ignored, or illegal bins.”

Other than your typo (missing bin_identifier_name), the LRM does not say the syntax is illegal, but it would make no sense to ignore or make an illegal bin for one that does not get created in the first place.

Ok thank you.