I have small doubt in dist constraint (:/)

in constraint i am giving :/ operator like

constraint c{a dist {0:/5,[1:3] :/8};}

how it distributed

for [1:3] :/8 we want to give weights equally for 1,2,3 ?

In reply to Prudhvi Krishna:

You have it right.

:/ → Weight is equally distributed across all values in the range.
:= → All values in the range get the same weight.

In “[1:3] :/8” each 1, 2 and 3 get 8/3 as the individual weights.
If you want 1, 2 and 3 to all individually have a weight of 8, you will need to use := .