I am getting a strange Error from sequencer

I have many parallel process which access the same driver thru same sequencer. When i added more parallel process to the existing one, If am getting following error.

`uvm_error(“SEQREQZMB”, $sformatf(“The task responsible for requesting a wait_for_grant on sequencer ‘%s’ for sequence ‘%s’ has been killed, to avoid a deadlock the sequence will be removed from the arbitration queues”, this.get_full_name(), arb_sequence_q[i].sequence_ptr.get_full_name()))

from line no : 709 of uvm_sequencer_base.svh.

i am using uvm_1.1d. Can any one help me on this.

Regards
Saravanan