How to suppress uvm_warning?

Hi,

While migrating from uvm-1.1 to uvm-1.2, i am getting some expected warnings:

UVM_WARNING $UVM_HOME/src/base/uvm_resource.svh(1416) @ 0.00 ps: reporter [UVM/RSRC/NOREGEX] a resource with meta characters in the field name has been created “device0.device_vars”
UVM_WARNING $UVM_HOME/src/base/uvm_resource.svh(1416) @ 0.00 ps: reporter [UVM/RSRC/NOREGEX] a resource with meta characters in the field name has been created “device0.device_vars.xport0”

Please suggest how do i suppress these warning using uvm.

Thanks.

The best way would be to remove the periods “.” from the resource name. They interfere with hierarchical names and make debugging more difficult.

The brute force way is to change the report action for the ID UVM/RSRC/NOREGEX to do nothing. You can use set_report_id_action() or one of the built-in UVM command line options

+uvm_set_action=uvm_test_top,UVM/RSRC/NOREGEX,UVM_WARNING,UVM_NO_ACTION

In reply to dave_59:

Hi Dave,

I am having a similar problem. I wonder how you would re-write it without periods “.”.

You need the periods to specify the hierarchy. Could you please elaborate on this?

Thank you.
Sagar.

Hi vkantamn ,if you already solved the UVM_WARNING can you send an example of what needed to change the code.