How to start task (it is in sequence ) from testcase.? how to solve uvm_fatal (neither the item's sequencer nor dedicated sequencer has been supplied to start item in wr_seq)

In reply to chr_sue:

it is look likes:

class ahb_sqr extends uvm_sequencer #(pkt);
	
	`uvm_component_utils(ahb_sqr)
	
	 function new(string name,uvm_component parent);
	         super.new(name,parent);
	 endfunction

endclass:ahb_sqr