How to print from sequence item using `uvm_info

How to print from sequence item using `uvm_info. How to control the print for the below sequence item. from the command line ?

class debug extends uvm_object;

int payload;

byte CRC;

function void calculate_crc();
`uvm_info(“debug_class”,$sformatf(“CRC=%0d”,CRC),UVM_HIGH)
endfunction

endclass

In reply to uvm_novice:

For starters, your sequence item should extend uvm_sequence_item, not uvm_object.

There are several ways. You could set the simulation verbosity in Questa

% vsim +UVM_VERBOSITY=UVM_HIGH ...

Run the simulation and see what instance name is printed by your message. It depends on how you create the transaction object. For example, in my example, it is

_uvm_test_top.env.agt.sqr_@@seq.tx

so I used

% vsim +uvm_set_verbosity=_uvm_test_top.env.agt.sqr_,debug_class,UVM_HIGH,run ...

If you want to put wildcards in the instance name, you may have to use quotes and backslashes, depending on your simulator.