How to create a parametrised class using method create() in UVM

i want to make my Test parametrised , so Thats why i need to make it parametrised can you please what is the syntax of creating a parametrised class using UVM create method

In reply to nimmy_248:

  • When you create class. You should register your component with `uvm_component_param_utils:
    Example:

`uvm_component_param_utils(your_component_class#(your_param))

  • Declare your class and create:

your_component_class#(your_param) comp;

// Create it
comp = your_component_class#(your_param)::type_id::create("string", this);

Or use typedef for easy to read.