How to add new row name in uvm_printer?

The uvm_printer class stores items in m_rows with the uvm_printer_row_info type. The uvm_printer_row_info is struct type, cannot be override or any other change. Also format_row() function can’t add a new row in uvm_printer_row_info for printing. When I want to print additional infomation such as add a new row “Status”, how to realize?

Thanks.