How the within syntax is working in SVA

Hi Ben,

the following assertion is marked as an incomplete assertion in my simulation although on the waves itself, all the conditions are being met successfully… do you have any idea why it could happen?

property xyz_OK;
@(posedge clk);
$fell(a) |-> $rose(b)[->1] within $rose(a)[->1] ##1 $rose(c)[->1];
endproperty