Hierarchical name component lookup failed at 'uvm_test_top'

Hi,
I am trying to access scoreboard variable using uvm_test_top.env.scoreboard.rx_pause_frame_cnt hierarchy but it gives following compilation error.

ncelab: *E,CUVUNF (/home/data/STB03cana0/main/work/athakar/debug/design/blk/chip/tb/tests/tx_manuel_flow_control.sv,74|54): Hierarchical name component lookup failed at ‘uvm_test_top’.
irun: *E,ELBERR: Error during elaboration (status 1), exiting.
make: *** [INCA_libs_usb20/elab.done] Error 1

Any hints?

Thanks,
Alpesh

In reply to 1alpeshthakar:

You will need to post some code so others can try to provide assistance. Normally, you can’t access variables using a hierarchical path. The config_db is provided to enable access to common configuration objects.

In reply to cgales:

Hi cgales,
thanks for this information. If we have to access events from scoreboard how do we access that?

Thanks,
Alpesh

In reply to 1alpeshthakar:

A scoreboard is a verification component designed to examine TLM transactions and determine if your DUT is working correctly. There should never be any need to access any scoreboard information from outside of the scoreboard.

What are your trying to accomplish?