Grab/ungrab and stop_sequences() call

I have a question regarding grab/ungrab and stop_sequences() call. Lets say I started one sequence which grabbed the sequencer, after that warm reset comes so I call stop_sequences() which will kill all sequences. I want to know whether killing sequences will do ungrab of the sequencer also? So that after reset when i start sequences again they work properly.

Thanks,
AK

stop_sequences will call kill_sequence which in turn will call remove_sequences_from_queue which is the one deleting the arbitrated sequences from the sequencer and the lock_list.delete
http://www.studio-muzzi.com/project/docs/UVMdocs_smu/uvm-1.1d/uvm__sequencer__base_8svh_source.html
@line 1202 you can see the function