Global override using inst override

It is possible to do global override using inst override?
Like set_type_override_type(write_xtn::get_type,short_xtn::get_type);
set_inst_override_type(“", write_xtn::get_type, short_xtn::get_type);
This is written top file
Where "
” represents wild card character

In reply to Appubk@2001:

A full_inst_path of “*” is effectively a type override, as it will match all contexts.