Getting Warning VSIM-7076 and Fatal (Vsim -7019) while compiling UVM-1.2 package examples in questasim 10.6c on windows11

Hi Team,
I have downloaded UVM Package i.e. uvm-1.2 from accelera and trying to run the example given in the “uvm-1.2\examples\simple\basic_examples\pkg” from command line and getting following warning and error:
make -f Makefile.questa all
vlib.exe work
** Warning: (vlib-34) Library already exists at “work”.
vlog.exe -timescale “1ns/1ns” +define+UVM_NO_DPI -mfcu -suppress 2181 +acc=rmb -writetoplevels questa.tops …/…/…/…/src/uvm_pkg.sv +incdir+…/…/…/…/src +incdir+.
test.sv +define+UVM_NO_DPI
QuestaSim-64 vlog 10.6c Compiler 2017.07 Jul 26 2017
Start time: 15:58:14 on Aug 23,2024
vlog -timescale 1ns/1ns “+define+UVM_NO_DPI” -mfcu -suppress 2181 “+acc=rmb” -writetoplevels questa.tops …/…/…/…/src/uvm_pkg.sv “+incdir+…/…/…/…/src” “+incdir+.” test.sv “+define+UVM_NO_DPI”
– Compiling package uvm_pkg
– Compiling module test
– Importing package uvm_pkg

Top level modules:
test
End time: 15:58:15 on Aug 23,2024, Elapsed time: 0:00:01
Errors: 0, Warnings: 0
vsim.exe -c -do “run -all; q” -l questa.log -f questa.tops
Reading C:/questasim64_10.6c/tcl/vsim/pref.tcl

10.6c

vsim -c -do “run -all; q” -l questa.log -f questa.tops

Start time: 15:58:15 on Aug 23,2024

** Warning: (vsim-7076) The gcc/g++ path ‘/usr/bin/gcc’ via DpiCppPath modelsim.ini variable is not qualified and is ignored.

** Fatal: (vsim-7019) Can’t locate a C/C++ compiler for ‘DPI Export Compilation’.

FATAL ERROR while loading design

Error loading design

Error loading design

End time: 15:58:16 on Aug 23,2024, Elapsed time: 0:00:01

Errors: 2, Warnings: 1

make: *** [Makefile.questa:33: run] Error 12

I have explored verification academy forum before posting this.

Thanks,
Vinod

Hi Team,
I did some changes in makefile.questa and set UVM_HOME & MTI_HOME variable to questasim installation, and getting below error:
make -f Makefile.questa all
make -f Makefile.questa LIBNAME=uvm_dpi BITS=64 dpi_lib
make[1]: Entering directory ‘/mnt/i/MYUVM/uvm_resources/uvm-1.2/examples/simple/basic_examples/pkg’
mkdir -p /mnt/c/questasim64_10.6c/verilog_src/uvm-1.2/src/dpi
g++ -m64 -fPIC -DQUESTA -g -W -shared -x c -I/mnt/c/questasim64_10.6c/include /mnt/c/questasim64_10.6c/verilog_src/uvm-1.2/src/dpi/uvm_dpi.cc -o /mnt/c/questasim64_10.6c/lib/uvm_dpi.so
In file included from /mnt/c/questasim64_10.6c/verilog_src/uvm-1.2/src/dpi/uvm_dpi.cc:35:
/mnt/c/questasim64_10.6c/verilog_src/uvm-1.2/src/dpi/uvm_common.c:29:1: warning: ‘static’ is not at beginning of declaration [-Wold-style-declaration]
29 | const static char* uvm_package_scope_name = “uvm_pkg”;
| ^~~~~
make[1]: Leaving directory ‘/mnt/i/My_Golden_Ref/Module_4_UVM/uvm_resources/uvm-1.2/examples/simple/basic_examples/pkg’
vlib.exe work
** Warning: (vlib-34) Library already exists at “work”.
make: *** No rule to make target ‘vlog.exe’, needed by ‘comp’. Stop.
[Note: I have enabled wsl (ubuntu 22.04) in windows11, and trying to execute this example from command line ]
Then i compared uvm_common.c from uvm dowloaded package (…/…/…/…/src/dpi/uvm_common.c) with the installed questasim source (/mnt/c/questasim64_10.6c/verilog_src/uvm-1.2/src/dpi/uvm_common.c) , i see contents are same in both the files. Moreover, gcc and g++ installed version is 11.4.0

This Siemens sponsored forum is not for tool related issues. Refer to your tool documentation or contact your vendor support team for additional assistance.