In reply to dileep254:
This is my driver component created in driver.svh
class my_driver extends uvm_driver#(trasaction);
`uvm_component_utils(my_driver)
virtual intf intf1;
function new(string name,uvm_component parent);
super.new(name,parent);
endfunction
function void buid_phase(uvm_phase phase);
super.build_phase(phase);
if(!uvm_config_db#(virtual intf)::get(this,“”,“intf1”,intf1))
begin
`uvm_info(“kk”,“uvm_config_db::get failed”,UVM_LOW)
end
endfunction
task run_phase(uvm_phase phase);
forever
begin
trasaction req;
seq_item_port.get_next_item(req);
intf1.A=req.A;
intf1.B=req.B;
seq_item_port.item_done();
end
endtask
endclass