Flow after run_test() is executed

In reply to anilhr:

Hi,

I assume that you have somewhere an endless loop which consumes some delta cycles. I obtained the same error with this example:


`include "uvm_macros.svh"
import uvm_pkg::*;

class my_test extends uvm_test;
   `uvm_component_utils(my_test);

   function new(string name = "", uvm_component parent);
      super.new(name, parent);
   endfunction

   task run_phase(uvm_phase phase);
      phase.raise_objection(this, $sformatf("Start of test: %s", get_name()));
      forever begin
         #0;
      end
   endtask
endclass

module top;
   initial begin
      run_test("my_test");
   end
endmodule

Can you confirm that your code contains such a loop?

Best regards,
Cristi