Feeding sequence to multiple agent

Hi,

I have an environment in which I have 8 instances of same interface which can be active parallel. For this I have made 8 instances of interface and 8 instances of agent having its own sequencer respectively. I have created all the agents. When I try to start a sequence on a sequencer of one agent by using first agent’s handle, automatically driver’s run phase is called 8 times. My requirement is that only one sequence should run and one packet should be driven to DUT. Please suggest what might have gone wrong?

Regards,
Richa.

You have 8 instances of agents and one common driver. Have you connected driver ports to different sequencer ports for each agent . If yes then can u please share your snippet for more clearance.

In reply to Mukul Goyal:

I have 8 instances of agents having 8 different drivers in each agents corresponding to each interface. I have connected driver to the sequencer in agent. Since I am having 8 agents, automatically 8 different drivers are connected to 8 different sequencers port.

In reply to moninarayan:
Yes , correct then driver should run only once if sequence is started properly by specifying unique sequencer and agent.