Fatal Error Issues in UVM Simulation

Hi

What may be reasons for fatal errors during UVM simulations of Testbenches? I am asking in general.

In reply to Gokul S:

In general, it would be a situation which prevents further simulation from producing valid data.

In reply to cgales:

For example, a file not found to be used a stimulus, or an improper configuration.

In reply to cgales:

Thanks

In reply to dave_59:

Thanks