Factory registration of 2-D array in UVM

How can i do Factory registration of 2-D or Multidimensional array in UVM?

For an example,
bit [7:0] mem1 [0:15];
bit [15:0] mem2 [0:7] [0:1];

Here how can i factory registration of mem1 and mem2?

Factory registration macros are used for classes. I think you mean field automation macros. See Uvm_field_*_* macro for multi dimensional dynamic array - #2 by cgales