I am facing following warming on my coverage code while compilation
Warning-[SV-ANDNMD] Argument names do not match
bist_coverage_collector.sv, 68
uvm_pkg, “obj”
The argument name ‘obj’ for class method
‘uvm_subscriber#($unit::bist_engine_sequence_item)::write’ in the derived
class does not match argument ‘t’ for class method in the base class at:
“/home/ip/shared/external/uvm/1.2/54853456/snps/2019.06-SP2-10-T-20210305/src/comps/uvm_subscriber.svh”,
Please check and correct the argument names.
This is the source code mentioned above
virtual function void write(bist_engine_sequence_item obj);
`uvm_info(get_full_name(), $sformatf("SIGNAL Values : %s",obj.convert2string()), UVM_HIGH);
pkt = new;
pkt.copy(obj);
basic_functions_cg.sample();
endfunction : write
This is the class decalration on top
class bist_coverage extends uvm_subscriber #(bist_engine_sequence_item);
I want to know why this warning pops up and want to avoid it from compilation though the code is error free