Evaluation of deferred assertions

Hi All,
I was referring to following quote from understanding-and-using-immediate-assertions

All immediate assertions execute in the location where and when they are seen. The action block execution region depends upon the immediate assertion mode.

always_comb begin
   imm1:assert ( a && !b ) ;  // Evaluated in Active region or re-entry from Active/NBA to Active
end

function void sample() ;
  imm2:assert ( a && !b ) ; // Evaluated in Observed region as sample() executes in observed region
endfunction
ca1:assert property( @(posedge clk) sample() );

The reporting of the deferred immediate assertion action block (implicit to report an error ) or explicit (user-defined reporting ) is delayed until there are no more allowed iterations in the allowed evaluation regions. This provides some level of protection against unintended multiple executions on transient or “glitch” values.

[Q1] I am not clear on when are deferred assertions evaluated i.e which SV region ?
Since deferred assertions are a type of immediate assertions , can I state that

Deferred assertions execute in the location where they are seen.

I am aware that Concurrent assertions are evaluated in observed region using the values sampled in pre-poned region.

[Q2] Do both immediate and deferred immediate assertions use the current/existing value of variables during evaluation ?

The only thing the significantly differentiates a deferred immediate assertion from a non-deferred immediate assertion is the execution of the action block. A deferred assertion can evaluate the assertion many times in a time slot, but act on the result once at in the observed region. (there is a rare case if using program or clocking blocks to drive a clock that could result in multiple observed regions in a single time slot, but we suggest never using program blocks, or driving a clock with a clocking block)

Thanks Dave.

Could you please elaborate on the above statement ?
I am not clear on “act on the result once at in the observed region” part .
How is observed region related to immediate and deferred immediate assertions ?
( I am aware that observed region is where expressions within concurrent assertions are evaluated )

A deferred assertion can evaluate the assertion many times in a time slot, Its results are queued. The last result (pass/fail) is acted in the observed region.**

Thanks Ben.
I found a quote in LRM related to the same

In the Observed region of each simulation time step, each pending observed deferred assertion report that has not been flushed from its queue shall mature, or be confirmed for reporting.
Once a report matures, it may no longer be flushed..
Then the associated subroutine call (or $error, if the assertion fails and no action block is present) is executed in the Reactive region, and the pending assertion report is cleared from the appropriate process’s deferred assertion report queue.