Error-[NOA] Null object access

sm_test.sv, 20
The object at dereference depth 1 is being used before it was
constructed/allocated.
Please make sure that the object is allocated before using it.

please let me know where i am doing mistake.

In reply to tinku_mistry:
You have missed creating object in your test:
Line 20 requires this statement in your test case :


  seq = sm_sequence::type_id::create("seq");