Hi All,
I am getting error during writing the UVM code of ALU. Will you Please help to solve this problem. I am stuck in my code.
class alu_env extends uvm_env;
// factory registration
`uvm_component_utils(alu_env)
alu_agent agent;
alu_scb scb;
// create a constractor
function new(string name = "alu_env",uvm_component parent);
super.new(name,parent);
endfunction
// build phase
function void build_phase(uvm_phase phase);
super.build_phase(phase);
agent = alu_agent :: type_id :: create("agent", this);
scb = alu_scb :: type_id :: create("scb", this);
endfunction
// connect phase
virtual function void connect_phase(uvm_phase phase);
super.connect_phase(phase);
agent.mon.item_collected_port.connect(scb.ip_fifo.analysis_export);
endfunction
endclass