In reply to dave_59:
Hello Dave,
here is the agent code where I get the error.
class tc_agent extends uvm_agent;
`uvm_component_utils(tc_agent)
tc_driver tc_d;
tc_monitor tc_m;
tc_sequencer tc_seqr;
function new(string name,uvm_component parent);
super.new(name,parent);
endfunction
function void build_phase(uvm_phase phase);
super.build_phase(phase);
tc_d=tc_driver::type_id::create(“tc_d”,this);
tc_m=tc_monitor::type_id::create(“tc_m”,this);
tc_seqr=tc_sequencer::type_id::create(“tc_seqr”,this);
endfunction:build_phase
function void connect_phase(uvm_phase phase);
super.connect_phase(phase);
tc_d.seq_item_port.connect(tc_seqr.seq_item_export);
//tc_m.
endfunction:connect_phase
endclass:tc_agent
Thanks for a quick reply. I really appreciate this.