Enums in packed data

In reply to dave_59:
Why I am getting error as “The expression ‘op_a’ is not an instance of an enum variable”,when i am using enum’s name method for printing enum.


module top;
    initial begin

  typedef enum logic [2:0] {NOP = 3'b001, AND, OR, XNOR, NAND, XOR} op_t;
 
  typedef op_t [0:0] op_array_t;
 
  typedef struct packed {
    op_array_t op;
  } op_struct_t;
 
  op_array_t op_a;
  op_struct_t op_s;
 
  op_a =  3'b100;
  op_s =  3'b011;
      $display("array is %p ",op_a);  //this is giving result as 'XNOR'
      $display("array is %p and %s ",op_a,op_a.name());  //getting error when using name method.

    end
endmodule