$dumplimit doesn't work

I have made a testbench.
I want to save the waveform of the interface instance in vcd file.
So I have used $dumpvars to save waves to dump.vcd file.
Additionally I have used $dumplimit(100), so that my filesize will be limited to 100 bytes.
But when I run the testbench, dump.vcd is having size 592 bytes.
I tried using another instance of interface, but file generated (dump.vcd) has size 1028 bytes.
I don’t know how to limit to 100 bytes.
Suggest me if anybody has the solution for this.

In reply to navdeepp5:
I do not think $dumplimit was intended for such fine control of a VCD file size. It is mainly for preventing a run-away VCD file from filling up all your disk space. A tool may write to the VCD file in large blocks and not check as every byte as written.

You may want to check with your tool vendor for more detailed behavior of $dumplimit.